Speakers
Sébastien Dauvé
CEO, CEA-Leti

Sébastien Dauvé
CEO, CEA-Leti
Bio:
Sébastien Dauvé was named CEO of CEA-Leti effective on July 1, 2021, after more than twenty years of experience in microelectronics technologies and their applications, including clean mobility, medicine of the future, cybersecurity, and power electronics.
Sébastien Dauvé started his career at the French Armament Electronics Center, where he worked on developing synthetic-aperture radar. In 2003, he joined CEA-Leti as an industrial transfer manager and supervised several joint research laboratories, in particular with the multinational Michelin.
In 2007, Sébastien Dauvé became a laboratory manager, then head of an R&D department in the area of sensors applied to the Internet of things and electric mobility. During this time, he supported the dissemination of new technologies in industry, including the automotive industry (Renault), aeronautics, national defense (SAFRAN), and microchips with the industry leader Intel. He played an active role in the creation of start-ups in application fields ranging from health to infrastructure security, leading to dozens of new jobs. In 2016, he became Director of the CEA-Leti Systems Division.
From sensors to wireless communication, Sébastien Dauvé has played an active role in the digital transformation, focused on coupling energy frugality and performance. He has made cross-disciplinary approaches central to innovation by harnessing the expertise of talented teams with diverse backgrounds. Their goal is to provide technological tools for meeting the major societal challenges of the future.
Sébastien Dauvé is a graduate of the French Ecole Polytechnique and the National Higher French Institute of Aeronautics and Space (ISAE-SUPAERO).
Dr. Ahmad Bahai
SVP & CTO, Texas Instruments

Dr. Ahmad Bahai
SVP & CTO, Texas Instruments
Bio:
Dr. Ahmad Bahai is the Senior Vice President and Chief Technology Officer (CTO) at Texas Instruments, where he leads groundbreaking innovation, corporate research, and Kilby Labs.
He also serves as a Professor of the Practice at the Massachusetts Institute of Technology (MIT), is an IEEE Fellow, and is a member of the Industrial Advisory Committee for the CHIPS Act. Previously, Dr. Bahai contributed to the President’s Council of Advisors on Science and Technology’s semiconductor working group. From 2017 to 2022, he was an Adjunct Professor at Stanford University and, from 2001 to 2010, a Professor in Residence at UC Berkeley.
Dr. Bahai’s technology leadership experience includes roles as Director of Research Labs and CTO at National Semiconductor, Technical Manager of a research group at Bell Laboratories, and Founder of Algorex, a communications and acoustic IC and systems company acquired by National Semiconductor.
He has authored over 80 publications in IEEE/IEE journals and holds more than 40 patents related to systems and circuits. Dr. Bahai earned a Master of Science in Electrical Engineering from Imperial College, University of London, and a Ph.D. in Electrical Engineering from the University of California, Berkeley.
Abstract:
Advancements in semiconductor technology, along with improvements in analog and digital signal processing, connectivity, battery technology, and security, have accelerated the deployment of intelligent sensing and actuation across diverse applications. However, nature often offers more efficient edge computing solutions by leveraging hierarchical signal processing to enhance performance and minimize energy consumption in intelligent sensory systems.
In this talk, we explore cutting-edge examples of low-power machine learning at the extreme edge, including bio-inspired sensor fusion. We will examine how innovations in devices, circuits, and machine learning systems are converging to drive the next generation of intelligent, energy-efficient sensing and actuation technologies.
Kaïs Mnif
CEO, Trixell

Kaïs Mnif
CEO, Trixell
Bio:
Kaïs Serves as CEO to Trixell, a joint venture between Thales, Siemens, and Philips specialized in X-ray flat panel detectors. He's also Head of the Radiology business at Thales.
Kaïs joined Thales in 2014 in Singapore as Business Development Director covering Asia. He then led the air traffic navigation aids Business of Thales, based in Milan.
Before joining Thales, he spent 18 years in the Automotive then the Railway industry where he held several management positions around the world.
Kais holds an engineering degree in automation and electronics from INSA Toulouse, a Master degree in enterprise administration from IAE Toulouse, and an MBA from INSEAD.
He's occasionally a guest lecturer in decision sciences, and multicultural leadership.
Abstract:
A bit like the concept of 'terroir' in wine making defines the unique combination of environmental factors and human practices in a specific location, industrial clusters are sometimes born from similar unique combinations.
Trixell was born from such favorable combinations of environmental factors : proximity with CEA and top universities, facilitated access to a large local talent pool, and a rich regional supply chain, to mention a few.
My presentation will quickly describe how about 30 years ago the flat panel detector was invented here, and why the next innovations in X-Ray imaging will continue to be invented here and what we're doing about it together with the CEA.
Hervé Bouaziz
CEO, Lynred

Hervé Bouaziz
CEO, Lynred
Bio:
Hervé Bouaziz was appointed executive President of LYNRED, a world leader in Infrared detectors, in December 2023. Prior to that he held various positions of responsibility within SAFRAN Group, as Director of Strategy and M&A within Safran Electronics and Defense, and as Director of Military Engines Programs within Safran Aircraft Engines. He also worked 20 years in Aerospace at DGA, the Defense Armament Procurement Agency of the French Ministry of Defense, on military aircraft Programs and as an Armament Attaché in Washington DC. Hervé is a graduate of Ecole Polytechnique, SupAéro, and the Industrial Colllege of the Armed Forces (USA). He also earned his wings as a military pilot in the Armée de l'Air et de l'Espace.
Abstract:
Infrared sensing and imaging is a fast expanding market due to its inherent unique characeritics
Trend is clearly toward high resolution, miniaturization, low power consumption and agile image correction with AI
Anticipation of high demand in mobility, industrial control, environment, Robotics, with applications involving the use of AI
Generalization of usage will draw a strong requirement for better competitivity, and high volume production capacity
LYNRED is gearing up to meet this challenge with its partners and its brand new CAMPUS manufacturing capability
Deirdre Hanford
CEO, NATCAST

Deirdre Hanford
CEO, NATCAST
Bio:
In January 2024, Deirdre Hanford was appointed Chief Executive Officer of Natcast, the operator of the National Semiconductor Technology Center (NSTC). Established by the U.S. CHIPS and Science Act, the NSTC is a public-private consortium driving U.S.-led semiconductor innovation and economic and national security.
Prior to Natcast, Hanford served as an executive at Synopsys in a career spanning over thirty-six years. She has served on many industry advisory boards, including being a leader in the Department of Commerce Industrial
Advisory Committee formed through the CHIPS Act.
Among her industry accolades, Hanford was selected to receive the 2025 IEEE Frederik Philips Award “for visionary leadership in electronic design automation
for secure and energy-efficient microelectronics” in July 2024.
Hanford earned a B.S. Engineering (electrical engineering) from Brown University and an M.S.E.E. from University of California, Berkeley.
Abstract:
Semiconductor R&D continues to present enormous opportunity in developing the technologies of tomorrow. Deirdre Hanford will discuss the need for cross-industry collaboration and innovation at all levels of the semiconductor stack, including the role of the recently established U.S. National Semiconductor Technology Center (NSTC) in convening stakeholders from across the ecosystem; fostering the development of a skilled workforce; and its exploration of semiconductor research in the AI era.
Barbara De Salvo
Director of Research, META

Jean-René Lèquepeys
CTO, CEA-Leti

Jean-René Lèquepeys
CTO, CEA-Leti
Bio:
Jean-René Lèquepeys received an engineering degree in 1983 from CentraleSupélec, a top French graduate engineering school at Paris-Saclay University, France. He taught physics during 2 years in Ouarzazate, Morocco.
He joined CEA, a French Research and Technology Office focusing on applied research, in Paris Saclay in 1985. He first worked at the laboratory of the Central Security Office, on the evaluation of means of detection and intrusion. Two years later, he was promoted head of this laboratory.
In 1993, he moved to Grenoble, France, and joined the System Division of CEA-Leti. He worked on different projects in the field of image processing and telecommunication technologies. In particular, he was responsible for the "Telecom, Communicating Objects and Smart Card" programs from 1999 to 2004.
In 2005, he took the responsibility of the Circuits Design Division at CEA-Leti (200 people). He launched new research activities at CEA such as a new laboratory in Aix-en-Provence, France, on the development of secured chips. In 2000, Jean-René Lèquepeys received the prestigious award from the french Société de l'Electricité, de l'Electronique et des technologies de l'information et de la communication (SEE) "Grand Prix de l'électronique Général Ferrié" for his work in the telecommunications field (he holds 15 patents).
In 2010, he launched a new division at CEA focusing on Electronic Architectures, Integrated Circuit Design and Embedded Software. He established the structure on two sites (Paris and Grenoble) and led the division twice in his career.
He rapidly got involved in the creation of the Silicon Components Division at CEA-Leti, and took the lead of it in 2011 managing 350 people. Division encompasses micro and nanoelectronics (SOI, nanodots, quantum, memories, 3D technologies, substrates), Micro Systems (sensor, actuator, radiofrequency components) and Power Components. He established the French Nano2022 Program for research funding in microelectronics.
In 2019, he was appointed Chief Technology Officer of CEA-Leti, overseeing Science, relations with the European Commission, Industrial Partnership and Strategic Program Management in the scope of the institute (2,000 people, ~€350m budget). He took the responsibility of the Microelectronic Program at CEA level, spearheading technological and upstream research in the field of semiconductor technologies. For the past 2 years, he has been strongly involved in the CEA-Leti Next Gen FD-SOI project in the frame of France2030 and has played a key role in European chips Act pilot line promoting FD-SOI and Gate All Around technologies.
Having dedicated his career to applied research, he is regularly invited as a keynote speaker in international semiconductor conferences.
Jean-René is also Vice President of ACSIEL, a professional trade union gathering industrial companies in the French electronic value chain, member of the Board of EPOSS, the European Technology Platform on Smart Systems Integration, a member of the Board of AENEAS, the Association for Europoean NanoElectronics Activities, and an expert consultant for the European Commission and French Research Agency
Herman Boom
EVP Deep Business Line DUV, ASML

Thibault Basquin
Co-Head & Executive Committee ARDIAN

Thibault Basquin
Co-Head & Executive Committee ARDIAN
Bio:
Thibault is Member of the Executive Committee of Ardian and Co-Head of Ardian Buyout. He also oversees the recently launched Ardian Semiconductor platform initiative.
He joined Ardian in 2001 and has led many successful transactions. In 2018, he moved to New York to launch the US Buyout group. In 2010, Thibault contributed strongly to the launch of the Ardian Foundation. He began his career in 2000 at Arthur Andersen as an auditor.
Thibault graduated from ESCP Europe in Paris with a master's degree in Finance.
Since 2020, he is a also a member of the Board of Trustees of L'Alliance New York, as well as the ESCP Foundation Inclusion & Diversity Committee in Paris.
His family is based in New York since 2018 and he splits his time between New York and Paris.
Abstract:
Michael Tchagaspanian
EVP Strategic Partnership, CEA-Leti

Michael Tchagaspanian
EVP Strategic Partnership, CEA-Leti
Emmanuel Le Taillandier de Gabory
General Manager, NEC Corporation

Emmanuel Le Taillandier de Gabory
General Manager, NEC Corporation
Bio:
Emmanuel Le Taillandier de Gabory was born in Libourne, France, in 1975. He received a MSc from the École Supérieure d’Optique, Orsay, France, in 1999 and an EMBA from Waseda University in 2018. From 2000 to 2007, he worked with Fujitsu Quantum Device Ltd., Yamanashi, Japan. In 2007, he joined NEC Corporation, Kanagawa, Japan, where he is currently the General Manager heading Advanced Network Research Laboratories. The research activities of his laboratories cover high capacity optical transport systems, digital signal processing for communication, quantum cryptography, space communication, beyond 5G mobile communication and applied sensing.
He has authored and coauthored more than 80 papers and 30 patent applications. He is a senior member of the IEICE (Japan) and he has been serving in technical committees of major conferences in the communication field.
Abstract:
In this talk, we will explore the transformative potential of AI technologies, including generative AI, for communication networks. We will discuss how these technologies can drive growth, enhance efficiency, improve performance, and transform communication infrastructure. Additionally, we will address the critical challenges posed by AI infrastructure requirements for communication technologies.
Erik Hadland
Director of Technology Policy, SIA

Erik Hadland
Director of Technology Policy, SIA
Bio:
Erik Hadland is the Director of Technology Policy at the Semiconductor Industry Association (SIA), where he is responsible for the association’s research, development, and technology activities as well as its education and workforce development efforts. In this role, he works with the White House, Federal agencies, and Congress to inform policymakers about the needs and functions of the diverse segments of the semiconductor industry.
Prior to SIA, Erik was a AAAS Science and Technology Policy Fellow at the U.S. Department of Energy, where he served as Advisor to the Director of the Office of Science—the Nation’s largest supporter of fundamental physical science research and stewarding office of 10 of the Department’s National Laboratories. In this capacity, Erik project managed briefings to the Congress on critical and emergent technologies, advised on matters of place-based innovation and technology transfer, and co-facilitated the Department’s Microelectronics Working Group. Prior to the DOE, Erik was a Senior Logic Technology Development Engineer at Intel, piloting first-of-a-kind annealing modules and processing conditions for Intel’s next generation logic products.
Erik earned his PhD in Solid State Chemistry from the University of Oregon, where he studied novel synthesis schemes for metastable 2D semiconductor compounds.
Abstract:
Rudi De Winter
CEO, X-FAB

Rudi De Winter
CEO, X-FAB
Bio:
Rudi De Winter joined X-FAB in 2011 as Co-CEO and became CEO in 2014. Between 1996 and 2011 he served as the chief executive officer and managing director of Melexis NV. Prior to that date, Mr. De Winter served as a development engineer at Mietec Alcatel, Belgium, from 1984 to 1985 and as a development manager at Elmos GmbH, Germany, from 1985 to 1989. In 1990, Mr. De Winter became director of XTRION NV, the parent company of X-FAB. Mr. De Winter holds a Degree in Electronic Engineering from the University of Ghent.
Abstract:
Ashkan Seyedi
Director, Optical Interconnect Products, NVIDIA

Yoshinami Takahashi
COO & Head of Global Solution Business Group, Fujitsu

Yoshinami Takahashi
COO & Head of Global Solution Business Group, Fujitsu
Bio:
Yoshinami Takahashi joined Sony Corporation in 1987 and was involved in overseas business in the consumer division, including postings in North America and Europe, and served as an officer at overseas bases. He joined Microsoft Japan Corporation in 2014, leading the cloud market, partner collaboration, and DX support for enterprise customers. Since June 2021, he has been leading the Fujitsu Uvance business as Managing Executive Officer.
Abstract:
Pioneering precision sensing
Pierre-Damien Berger
MEMS Industrial Partnerships Manager, CEA-Leti

Pierre-Damien Berger
MEMS Industrial Partnerships Manager, CEA-Leti
Bio:
CEA-Leti is a leading MEMS R&D lab that supports the industry with the work of more than 150 people, making it the world’s largest MEMS R&D institute.
Pierre-Damien was previously the MinaSmart (European Digital Innovation Hub) director at Minalogic. He also worked as CPS European projects manager, Head of Smart Devices Program, Industrial Partnership Manager and VP Business Development & Communication at CEA-Leti.
With more than 25 years’ experience, 10 years in industry and 15 years in industry-geared R&D, his experience has allowed him to master the perfect balance between business and innovation: understand and listen to needs, identify and select innovative solutions, enhance functions that meet expectations, and finally, communicate to radiate.
Marc Sansa Perna
Project Manager, Optomechanical Sensors Expert, CEA-Leti

Marc Sansa Perna
Project Manager, Optomechanical Sensors Expert, CEA-Leti
Bio:
Marc Sansa obtained his Ph.D. degree in electronic engineering from the Universitat Autònoma de Barcelona, Barcelona, Spain, in 2013. He is an expert in microelectromechanical system (MEMS) sensors, RF MEMS and optomechanics, having co-authored over 50 publications and several patents. He is currently a project manager at the Sensors and Actuators Laboratory of CEA-Leti.
Marcus Zimnik
EMEA Director of Innovation & Technology, Texas Instruments

Marcus Zimnik
EMEA Director of Innovation & Technology, Texas Instruments
Bio:
Marcus Zimnik received the Dipl. Ing. in EE from the Technical University of Regensburg and started his career at Texas Instruments in 1998 as a field application engineer. Since then, he held various managing positions supporting TI's key accounts in the automotive, industrial and telecom sectors.
In 2019 he became the EMEA Director of Innovation and Technology. In this role he’s focused on strategic activities to explore new business opportunities and works with strategic customers and partners on new IP and market development.
In addition he leads TI's Innovation Club, an employee network that encourages TI employees to come up with new ideas and put them into action.
Mr. Zimnik has a broad system knowledge and an excellent understanding of various customer applications.
Abstract:
Next generation sensors embed multiple sensing modalities into a single chip, providing more information at a smaller footprint. These sensing devices will be able to sense e.g. multiple gases or substances on a single device reducing the number of sensors needed in a system. Combined with extreme edge AI, the information quality increases while power consumption can be reduced e.g. in wireless sensor nodes. I will share some thoughts on these concepts and how future sensor systems could look like.
Jérôme Mouly
Director of Sensing, Imaging and Display Activity, Yole Group

Jérôme Mouly
Director of Sensing, Imaging and Display Activity, Yole Group
Bio:
Jérôme Mouly is Director of sensing, imaging and display at Yole Group.Jérôme manages the expansion of the technical expertise and market know-how of the team. In addition, Jerome’s mission focusses on the management of business relationships with company leaders and the development of market research and strategy consulting activities.He has conducted more than 100 marketing and technological analyses for industrial groups, start-ups, and institutes in the field of MEMS and sensing technologies.Jérôme has been also deeply engaged in Yole Group's finance activities with a dedicated focus on the commercial exploitation of smart system technologies and access to funding opportunities.Jérôme is regularly involved in international conferences, with presentations and keynotes.Jérôme Mouly earned a Master of Physics degree from the University of Lyon (FR).
Abstract:
The MEMS & Sensors industry is at a crossroads, characterized by both resilience and turbulence. Despite experiencing difficult periods in 2023 and 2024, mainly due to a decline in consumer electronics and global economic instability, the outlook for the future is optimistic. In the sensor industry, “high-end” sensor demand is accelerating to serve defense, Industry 4.0 and other harsh environment applications. New development and innovative technologies are at the forefront of the requested performances. The presentation is be an overview of the MEMS and sensor industry trends, identifying the critical factors driving growth, and how technological innovation is reshaping the landscape.
Ivan Favero
Research Director, CNRS, Université Paris Cité

Ivan Favero
Research Director, CNRS, Université Paris Cité
Bio:
Ivan Favero, head of the optomechanics team at Matériaux et Phénomènes Quantiques labs of Université Paris Cité-CNRS. Recipient of ERC Starting 2012 and ERC Consolidator 2018. For more than ten years, the research of Ivan Favero has enabled developing semiconductor nano-optomechanical devices for quantum physics and sensing applications. Along the two ERC projects (NOMADE and NOMLI) his team has set a forefront expertise in the physics, modeling and understanding of optomechanical resonators, as well as in highly sensitive all-optical measurement techniques.
Abstract:
I will discuss our progress in the dual optical and mechanical measurement of liquids on the micron scale. I will focus on situations where optomechanics enables concrete gains in time-resolution and sensitivity, giving access to temporal and spatial scales little explored in the liquid state. A central development is the rheology of microscale volumes of liquids at high-speed, with applications in the industrial and medical sectors.
Mikaël Colin
Head of Microsystems Laboratory, CEA-Leti

Raphael Levy
Head of the Sensors & Micro/Nano Technology Unit, Onera

Raphael Levy
Head of the Sensors & Micro/Nano Technology Unit, Onera
Bio:
Raphael Levy is currently at the Head of the Sensors & Micro/nano technology unit at ONERA - The french aerospace lab. His research focuses on high performances MEMS devices based on quartz crystal resonators for high performances miniature sensors dedicated to aerospace applications, and especially Position, navigation and timing devices to adress the navigation grade. He received PhD degrees from the University of Paris-Saclay in electrical engineering in 2005
Abstract:
Quartz resonators have been used for a long time for time and frequency applications, taking advantage of their high frequency stability, high quality factor,and the simplicity of piezoelectric transduction.
These key benefits, together with optimizations concerning transducers analysis and design by FEM simulations and microtechnology process including wet etching, DRIE, and hybrid substrates, allowed to develop high performances devices for PNT (position, navigation, timing) applications (accelerometer, gyroscope, time reference) towards navigation grade, and other sensors including electrostatic field sensor, satellite ion thruster thrust sensor, or photoacoustic gaz sensor.
Franck Badets
Research Director, CEA-Leti

Franck Badets
Research Director, CEA-Leti
Bio:
“ Franck Badets received the MS degree from ENSEIRB, Bordeaux, France in 1995 and a PhD Degree in electronics in 2000 from university of Bordeaux, France. From 2000 to 2011 he was with STMicroelectronics where he supervised a research activity on RF frequency synthesizers and Injection locked oscillators. In 2012 he earned the French accreditation to direct research and joined CEA-Leti. As a research director he currently leads a research activity on cryoCMOS IC design for quantum computing and another on the design of silicon oscillators for sensing and reference frequency applications.
Abstract:
The high quality factor of optomechanical resonators paves the way to the design of ultra-low phase noise reference frequency generators running at several hundreds of MHz up to few GHz. In this presentation we will first focus on the possible applications of optomechanical oscillators. In a second part, we will address the electronic design challenges by presenting two on-going projects at CEA-Leti: a first one intends to design an optomechanical reference frequency for cryogenic applications, while a second one intends to provide a fully integrated CMOS reference generator for consumer applications.
Guillaume Jourdan
Optomechanical MEMS/NEMS Expert, CEA-Leti

Bernard Legrand
Senior researcher, CNRS - LAAS laboratory

Bernard Legrand
Senior researcher, CNRS - LAAS laboratory
Bio:
Dr. Bernard Legrand is a Research Director at CNRS, based at LAAS in Toulouse, France. He holds a Ph.D. in Electronics from the University of Lille, France. His research began in semiconductor physics in Lille and later evolved into micro and nanosystems, focusing on MEMS and sensor technologies. At LAAS, he has notably worked on optomechanical Atomic Force Microscopy to open new experimental windows—including GHz AFM probes for high temporal resolution and future prospects toward quantum AFM. With over 120 publications, several patents, and active roles on evaluation and editorial committees, his objective is to develop micro and nanosystems towards new innovative applications beyond conventional uses. Besides optomechanics, his current interests encompass MEMS for adiabatic and neuromorphic computing, as well as chaos-based applications in MEMS resonators, in strong collaboration with MPQ in Paris, and CEA-LETI and TIMA in Grenoble.
Abstract:
Over the past 15 years, advances in optomechanics and micro-/nano-fabrication have enabled the development of miniature devices that combine optical cavities with high-quality mechanical resonators. In these systems, strong optomechanical coupling allows for the detection of sub-femtometer displacements at ultra-high frequencies, opening up new technological avenues and experimental windows in Atomic Force Microscopy. This progress paves the way for faster AFM probes with broader bandwidths, enabling ultra-high-speed imaging and nanosecond-scale force spectroscopy to probe molecular biophysics, for example. These advancements also lay the foundation for future quantum AFM and measurements down to the single-phonon level.
Thomas Alava
Senior Expert - Biological Sensors, CEA-Leti

Thomas Alava
Senior Expert - Biological Sensors, CEA-Leti
Bio:
Dr. Thomas Alava is a staff researcher at CEA-Leti's Heath Division in Grenoble, France. He earned his Ph.D. in micro and nanotechnologies for integrated systems from LAAS-CNRS. His research focuses on developing biosensors, including graphene-based sensors and nanomechanical resonators for mass spectrometry applications. He has co-authored numerous publications and holds several patents in sensor technology. Since 2014, he has been leading efforts in micromechanical biological sensors at CEA-Leti. In 2020, he initiated a program integrating various biosensing technologies into an autonomous vehicle for collecting and analyzing bathing water samples. Thomas Alava work bridges nanotechnology and biotechnology, aiming to advance environmental monitoring and public health.
Abstract:
Water quality monitoring faces a critical challenge: traditional microbiological detection methods are too slow for real-time risk management. The NEO program at CEA-LETI introduces a groundbreaking in-situ biosensing technology, enabling bacterial contamination detection in under an hour. Successfully tested in real-world conditions, this system transforms crisis response capabilities. What are the next steps toward fully autonomous early-warning stations? Join us to explore the future of real-time microbiological monitoring!
Noora Tuomisto
Project Manager, Murata Finland

Noora Tuomisto
Project Manager, Murata Finland
Bio :
Noora Tuomisto started at Murata Finland as a MEMS gyroscope designer and after a couple of years moved on to project management. She has led MEMS projects for automotive customers from R&D to start of production. Her background before Murata is in physics with a PhD on computational modelling of quantum mechanical tunneling in nanoelectronics components.
Murata Finland is a global MEMS Center of the Japanese Murata Group. The company is a leading supplier of MEMS elements and components for applications in automotive, medical, industrial and consumer electronics.
Abstract :
R&D in Murata Finland is focusing on providing products and solutions for the autonomous driving market. Precise localization and operational safety set demanding performance requirements for inertial measurement units. In the future components need to serve multiple automotive systems, e.g. AD/ADAS, chassis control, ESC and safety restraint systems. This will require extreme high performance and functional safety. The talk will provide insight in Murata’s unique MEMS technology and innovative 3-axis sensor concepts behind our new High Performance 6 DOF Sensor product, SCH1633.
The FAMES Pilot Line
Dominique Noguet
FAMES Pilot Line Project Coordinator, CEA-Leti

Dominique Noguet
FAMES Pilot Line Project Coordinator, CEA-Leti
Bio:
Dominique Noguet holds an engineering degree of the National Institute of Applied Sciences (INSA) in electrical engineering in 1992, and a PhD from National Polytechnical Institute of Grenoble (INPG) in 1998. Then, he held several positions at CEA-Leti as a digital IC designer, lab manager and department manager. He led many projects at a national level and in several European frameworks (FP5, FP6, FP7). In January 2023, he was appointed project manager for the France 2030 flagship project NextGen. He is currently the coordinator of the FAMES Pilot Line and reports to CEA-Leti’s CEO. Dominique is a CEA senior expert and an IEEE Senior Member. He has authored or co-authored ~100 scientific papers (several best paper awards), several book chapters and 15 patents. He was a reviewer and a member of scientific committees of many conferences and a member of journal editorial boards. He was conference chair and TPC chair of several international conferences.
Abstract:
The goal of this presentation is to introduce the FAMES project and explain the technologies that have been developed. It will also explain how to use the FAMES pilot line, which can be accessed in various ways, including the open access mechanism. Finally, it will briefly introduce this first technical workshop and the future actions expected throughout the project.
Susana Bonnetier
Carnot Program manager, CEA-Leti

Susana Bonnetier
Carnot Program manager, CEA-Leti
Bio:
Susana Bonnetier is a member of the FAMES Pilot Line management team and leads the Open Access effort with the goal of making « European-grown » advanced semiconductor technologies readily accessible to industry and academia.
An MIT engineer with a background in industry and research in the USA and France, Susana puts all her energy into transferring technological innovation to companies.
Born in Venezuela, Susana spent 9 years in the USA, where she completed her higher education and worked for General Electric Aircraft Engines, designing and building aircraft engines.
In 1991, Susana joined Saint-Gobain in France, first in the Industrial Strategy and Planning department working with 20 manufacturing plants to streamline and modernize their operations, and then at Saint-Gobain Cristaux et Détecteurs as Product and Industrial Market Manager, significantly increasing the profitability of a scintillation detectors product line.
In 2001, she moved to Grenoble, “the land of nano and micro technologies”, and reoriented her career towards the semiconductor industry. She joined Freescale as R&D Engineer and contributed to the successful development of the 65nm and 45nm CMOS technology nodes by the Crolles 2 Alliance.
In 2007, Susana joined CEA-Leti, first as head of a joint laboratory between Leti and a major French optics company, and later as Leti’s Carnot program manager, piloting a 14M€ R&D budget within Leti’s Scientific Directorate. During that time, she was VP of the Carnot Network and a member of its board of directors.
Susana brings a solid industrial and R&D experience to the FAMES Pilot Line project and the aim of contributing to the construction, in concert with the FAMES European Partners and the complementary Chips Act Pilot Lines, of a pan-European semiconductor ecosystem with the best Europe has to offer in microelectronics technologies.
Laurent Fesquet
Deputy DIrector of the TIMA Laboratory (UGA - Grenoble INP - CNRS)

Laurent Fesquet
Deputy DIrector of the TIMA Laboratory (UGA - Grenoble INP - CNRS)
Bio:
Laurent FESQUET received the Ph.D. degree in electrical engineering from
Paul Sabatier University, Toulouse, France, in 1997. In 1999, he joined the Grenoble Institute of Technology, Grenoble, France, as an Associate Professor at ENSERG (now PHELMA).
His current research at the TIMA Laboratory today covers asynchronous circuit design, computer-aided design (CAD) for event-based systems and non uniform signal processing. He has served as general and program chair of several international conferences. He is currently the Deputy Director of the TIMA laboratory and CIME Nanotech, an academic center supporting microelectronic teaching and research activities
Abstract:
FAMES includes a dedicated training work package to train engineers and technicians with the skills to leverage FD-SOI technology and design circuits using advanced setups. The FAMES pilot line aims at developing new technological nodes, such as 10 nm and beyond, enabling European companies to create innovative IPs for cutting-edge applications. However, the success of the project hinges on having skilled professionals. Therefore, it is imperative for European company employees and talented microelectronics graduates to acquire specialized knowledge and expertise in FD-SOI technology design and characterization.
Thierry Poiroux
Head of the Characterization, Design and Simulation Department, CEA-Leti

Thierry Poiroux
Head of the Characterization, Design and Simulation Department, CEA-Leti
Bio:
Thierry Poiroux received the M.S. degree in 1995 and the Ph.D. degree in 2000. He joined CEA–Leti as a Research Staff Member in 2000. Until 2002, he was involved in partially and fully depleted silicon-on-insulator (SOI) process integration and compact modeling. From 2002 to 2010, he worked on advanced device architectures and was in charge of multiple-gate device modeling, planar double gate process integration and fabrication of graphene-based transistors. In 2011 and 2012, he has been the Head of the Innovative Device Laboratory of CEA–Leti, dedicated to the development of advanced CMOS technologies. From 2012 to 2018, he developed the second version of the L–UTSOI compact model, selected by the Si2 Compact Model Coalition as a standard industrial model for fully-depleted SOI technologies. From 2018 to 2021, he was the head of the Simulation and Compact Model Laboratory of CEA–Leti, and since 2021, he is in charge of the Characterization, Design and Simulation Department. He has authored or coauthored five book chapters and about 190 papers and communications, and he is author or co-author of about 20 patents.
Abstract:
The presentation will review the physical specificities of FD-SOI transistors, highlighting analogies and differences with respect to bulk transistor behavior, and we will explain how to take advantage of this technology to design performant circuits.
Claire Fenouillet-Beranger
Project Manager, CEA-Leti

Claire Fenouillet-Beranger
Project Manager, CEA-Leti
Bio:
Claire FENOUILLET-BERANGER (F) joined CEA-Leti, Grenoble, in 1998 where she carried out her PhD. work on the integration and characterization of SOI devices. From 2001 to 2013 she worked as a CEA-Leti assignee in advanced R&D STMicroelectronics center, Crolles, France on FD-SOI (Fully-depleted SOI) technology platform development and characterization. From 2013 to 2020 she worked as the project leader of the low temperature MOSFETs development for 3D sequential integration. From January 2020 to January 2022, she was the LETI SiC pilot line project manager in the frame of the joint development program between SOITEC & AMAT.
She is the author and co-author of more than 200 publications in major conferences and journals and of more than 40 patents. She was the co-recipient of the Grand Prix du Général Ferrié in 2012 for her
work on FD-SOI. She is in charge of CMOS patent portfolio. Since 2022, she is director of research, and CEA Fellow expert, and co-project manager of the FD-SOI next generation node integration at CEA-Leti.
Abstract:
The rising of emerging applications involving high energy efficiency, low power consumption and cost reduction requires the development of differentiating technologies. Fully-depleted Silicon on Insulator (FD-SOI) planar technology is an alternative to the FinFet in order to address a large range of applications thanks to its low process cost and complexity and its suitability to mixed-signal circuits. The advantages of the FD-SOI as compared to other planar technologies are the improvement of the electrostatic behavior thanks to the introduction of ultra-thin channel and Buried OXide layer (BOX), low parasitic capacitance, and low mismatch (low or no channel doping). However in order to fulfill the performance specifications of the 10nm down to the 7nm node, new technological boosters need to be developed.This presentation will deal with the specific electrical properties of FD-SOI devices (Thin silicon, thin BOX, back bias) and technological knobs for the 10-7nm nodes.
Gabriel Pares
Project Manager, CEA-Leti

Gabriel Pares
Project Manager, CEA-Leti
Bio:
Gabriel Parès has an Engineer degree in “material science” from l’Institut National des Sciences Appliquées (INSA) de Lyon, France and a postgraduate degree in “semiconductor material sciences” from the University of Lyon.
He has been working for 32 years in semiconductors and MEMS industry in industrial and R&D fields, formerly for STMicroelectronics and MEMScap, then he joined CEA-leti in 2004.
He is currently project leader at CEA-Leti in the Laboratory of Memories and Computing in charge of the workpackage dedicated to embedded Non Volatile memories of the FAMES pilot line.
Abstract:
The presentation will address embedded Non-Volatile Memory (eNVM) devices integrated in the BEOL of advanced CMOS technologies starting from the 22nm and going towards the 10 and 7nm FD-SOI technology nodes. One of the goals of eNVM in the framework of FAMES is to open opportunities for disruptive IC architectures and designs using the most appropriate eNVM for the considered applications and use cases. OxRAM, FeRAM, BEOL FeFET and MRAM will be addressed in the presentation. Exploration of potential new applications will cover mainstream domains such as Artificial Inteligence and security for instance.
Somnath Pal
Scientist, Silicon Austria Labs

Somnath Pal
Scientist, Silicon Austria Labs
Bio:
I am Dr. Somnath Pal, a passionate researcher with a Ph.D. in Materials Science, specializing in engineering magnetic, high-κ dielectric, and multiferroic materials. My expertise lies in semiconductor device design, modeling, and microfabrication, with hands-on experience in thin film deposition techniques such as sputtering, e-beam evaporation, ALD, and PECVD. I have a strong background in photolithography, including e-beam lithography, and ICP/RIE etching processes. My skills extend to advanced microscopy techniques like SEM/EDS, FIB, AFM, and optical spectroscopy. I am well-versed in electrical characterization of fabricated devices, including IV, CV, and VNA measurements. Additionally, I have proficiency in simulation and statistical tools such as COMSOL, MATLAB, LabView, JMP, and Minitab. My research interests focus on RF MEMS development, particularly Piezo MEMS resonators, with the goal of advancing next-generation microsystems. Recently, I joined Silicon Austria Labs as a Scientist, contributing to the advancement of Magnetic Microsystems Technologies.
Abstract:
An RF circulator is a non-reciprocal, three-port passive device that enables directional signal flow between ports, ensuring isolation between transmit and receive paths. Traditional circulators depend on ferrite materials with external magnetic biasing, which limits integration and scalability. To address this, we focus on CMOS-compatible circulator designs utilizing barium hexaferrite (BaM) thin films for self-biasing, eliminating the need for bulky magnets. This talk presents the design, fabrication, and characterization of a self-biased RF circulator, emphasizing material selection, deposition techniques, and challenges in achieving uniform film quality and miniaturization. The characterization includes S-parameter analysis (S11, S21, S31) to assess insertion loss, isolation, and return loss.
We are fabricating and integrating these CMOS-compatible circulators for the FAMES pilot line, aiming to develop scalable, cost-effective, and high-performance RF circulators for next-generation wireless technologies.
Emmanuel Ollier
Program Manager, CEA-Leti

Emmanuel Ollier
Program Manager, CEA-Leti
Bio:
Emmanuel Ollier is Program Manager in the Silicon Components Division of CEA-Leti. During the last 4 years, he headed the 3D Integration Technologies Laboratory of CEA-Leti, specialized in heterogeneous integration for high-performance computing & AI, RF communications, IoT and power. He previously worked in technology development at NXP (Crolles2 Alliance) on logic nodes, at ATMEL on embedded flash memories, and THALES on MEMS. He holds 22 patents and has authored 45 papers and communications in international conferences. He holds an engineering degree in Physics and Chemistry from the Ecole Nationale Supérieure de Chimie et Physique of Bordeaux, France and a Ph.D. in materials sciences from the University of Compiègne, France.
Abstract:
Since ITRS became IRDS in 2017 to follow the trend of microelectronic system integration, heterogeneous integration technologies and system architectures have undergone major revolutions. The SoC (System–on-chip) architectures are now evolving towards Chiplet architectures. Functions are partitioned into different chips to take advantage of the best of each technology and reduce time to market. Not only does this approach affect technology development and manufacturing, but it also transforms interactions and business models. This presentation will show how CEA-Leti and the FAMES pilot line are at the forefront of innovation in this area, especially on technology challenges and computing and RF applications.
Cian O’Mathuna
Director Integrated Power and Energy Systems, Tyndall National Institute

Cian O’Mathuna
Director Integrated Power and Energy Systems, Tyndall National Institute
Bio:
Abstract:
Cédric Rolin
Program Manager, IMEC

Cédric Rolin
Program Manager, IMEC
Bio:
Cédric Rolin is Manager for the Sustainable Semiconductor Technologies and Systems (SSTS) Program at imec. After more than 15 years spent both at imec and at University of Michigan holding various positions as researcher and team leader in the fields of large-area flexible electronics and nanoimprint lithography, Cédric has joined in 2021 the sustainability team of imec as Program Manager, focusing on the assessment and improvement of the environmental footprint of the semiconductor manufacturing industry.
Abstract:
This presentation will cover the research activities carried out by the Sustainable Semiconductor Technologies & Systems (SSTS) program at imec in the context of the sustainability work package 11 of the FAMES project. We develop life-cycle assessment models for the environmental footprint of manufacturing integrated circuit chip in a high volume fab, covering a broad range of technologies (logic, memory, RF, advanced packaging, etc.). The same approach can be downscaled to module or even single process step level, enabling the calculation of an environmental E-score that is used to guide eco-innovation in semiconductor processing and flow integration. Such E-score is instrumental in the selection and evaluation of fab research projects aiming at the development of more sustainable semiconductor processes.
AI Transforming HPC & Data Centers
Jean-Philippe Bourgoin
Deputy Executive Director of the Technological Research Division, CEA

Jean-Philippe Bourgoin
Deputy Executive Director of the Technological Research Division, CEA
Bio:
Deputy executive director of the Technological Research Division (4500p, 650M€/y) at the French Alternative Energies and Atomic Energy Commission (CEA).
Co-leader of CEA’s quantum technologies program and acting co-pilot of the national priority research and equipment program on quantum technologies.
From June 2017 to august 2019 and may to December 2020 he was senior advisor for research, innovation and industry at the cabinet of Professor Frédérique VIDAL, Minister for higher education, research and innovation in President Macron Government.
From January 1st 2016, to May 2017, he was director for Strategic analysis, member of the CEA executive committee after he had been appointed corporate Strategy and Programs Director in 2011 and Nanoscience Program Director in 2006. He was President of the High Performance Computing and Simulation steering committee at CEA 2016-2017 and the secretary of the Atomic Energy Committee 2010-2015.
He represented France in various international missions related to nanotechnology (OECD, High Level Groups, Japan-France FOE, EU-US coordination on nanotechnology…) and was expert for various national, EU and international funding agencies and programs.
He was a member of the boards of Genci (French supercomputing agency), Soleil Synchrotron, Ecole normale supérieure Paris-Saclay, Paris-Sud University...
He was President of the Micro-nano sectorial scientific committee of the National Research Agency (ANR) and contributed to the development of nanotechnologies in France.
He was head of the CEA Molecular Electronics Laboratory (2001-2008), co-founder and head of the joint CEA-Motorola Molecular Electronics Laboratory (2001-2003), deputy manager of the Service de Physique de l'Etat Condensé (2005-2006).
He studied at Ecole Normale Supérieure Paris-Saclay , received a Ph.D. in Physical-Chemistry from Paris-Saclay University in 1991, did his post-doc with Dr H. Rohrer (Nobel Prize) and Dr B. Michel at IBM Rüshlikon in 1993-1994 and was delivered an Habilitation in solid state physics in 2001. His science and technology interests include nano and quantum devices, advanced nanoelectronics, nanomanufacturing, material sciences, information theory, new architectures of computation and AI, supercomputing. He published more than 100 scientific publications, cited ~3700 times (H-index=34), gave more than 75 invited conferences and obtained 12 patents.
He is Knight of the Ordre National du Mérite.
Abstract:
Hardware at the heart of AI
Elisa Vianello
Edge IA Program Manager, CEA-Leti

Elisa Vianello
Edge IA Program Manager, CEA-Leti
Bio:
Elisa is a senior scientist at CEA-Leti. She joined the institute in 2011 after spending one year on the research staff at Fondazione Bruno Kessler, Trento. Her current research interests concern the development of new technologies for bio-inspired neuromorphic computing, with special focus on resistive switching memory devices. She has authored or co-authored 4 book chapters and more than 100 technical papers.
She is coordinator of the "MeM-Scales" (2020-2022) European project (H2020) focused on the codevelopment of a novel class of algorithms, devices and circuits that reproduce multi-timescale processing of biological neural systems. In 2022 she won an ERC Consolidator Grant on "Heterogeneous integration of imprecise memory devices to enable learning from a very small volume of noisy data".
She is member of the editorial board of the Neuromorphic Computing and Engineering IOP Science journal (2019-now); associate editor of the Special issue on Emerging Materials in Neuromorphic Computing, AIP APL Material (2020); associate editor of the IEEE Trans. on Circuits and Systems –II (2020-2021).
She received the PhD in Electrical Engineering from the Università degli Studi di Udine (Italy) and the Grenoble Institute of Technology (INPG, France) in 2010.
Dr. Bernd Dielacher
Business Development Manager, EVG

Dr. Bernd Dielacher
Business Development Manager, EVG
Bio
Dr. Bernd Dielacher is business development manager at EV Group (EVG) where he evaluates global market trends and develops growth opportunities for EVG's bonding, lithography and nanoimprint businesses with a particular focus on the heterogenous integration, MEMS, biomedical technology and power device market.
Bernd holds a master’s degree in Microelectronics from Vienna University of Technology and received a PhD in Biomedical Engineering from ETH Zurich.
Abstract:
Heterogeneous Integration and 3D stacking are essential for future system scaling, driven largely by AI. This shift from planar devices to 3D stacked systems relies heavily on bonding technologies. While current devices like image sensors and stacked memory have been specifically designed for 3D integration and bonding, the next phase will trigger new integration processes. Therefore, wafer-level as well as die-level hybrid bonding technologies are being developed, depending on interconnect density, chip size, system yield, and cost. This presentation will cover industry trends and technological advancements in wafer-to-wafer and die-to-wafer hybrid bonding, highlighting key differentiators and integration scenarios, including disruptive device flows with IR laser-based carrier solutions for ultra-thin 3D devices.
Jamie Schaeffer
Vice President - Product Management, Globalfoundries

Giuseppe Desoli
AI R&D Director & Company Fellow, STMicroelectronics

Giuseppe Desoli
AI R&D Director & Company Fellow, STMicroelectronics
Bio:
Giuseppe Desoli, PhD, ST Company Fellow
Holds an EE engineering master and PhD degrees from the University of Genoa. From 1995 to 2002 he worked for Hewlett-Packard Laboratories, in the US, developing microprocessors architectures, compilers, and tools. He’s one of the original architects of the ST200 family of VLIW embedded processors later integrated into most of ST’s multimedia products. In 2002 he joined STMicroelectronics as an R&D Director and lead architect continuing to work on microprocessor architectures and pioneering multiprocessor systems for embedded SoCs for set-top boxes and home gateways. Since 2012 he is serving as the Chief Architect for the System Research & Application central R&D group responsible for the development of HW AI architectures and tools for edge applications being integrated into multiple ST products. From 2015 he pioneered the development and deployment of HW-accelerated AI in STMicroelectronics for advanced deep learning based applications. Presently he leads the SRA AI architecture team developing advanced AI HW digital IPs and tools supporting ST’s product groups; he is one of the proponents and coordinator of the advanced R&D corporate project for neuromorphic computing and he’s contributing to multiple initiatives of the Innovation Office such as the ST’s technology council, he is the chairman of ST’s fellows scientific committee reporting to the corporation, and coordinates the ST AI Affinity team. He has co-authored more than 70 scientific publications and holds more than 40 patents in the field of microprocessor architectures, AI HW acceleration, algorithms, compilers, and tools and has been coordinating multiple funded EU research projects.
Subi Kengeri
Corporate Vice President and GM, Systems to Materials, Applied Materials

Subi Kengeri
Corporate Vice President and GM, Systems to Materials, Applied Materials
Bio:
Subramani (Subi) Kengeri is the Corp. Vice President and GM of Systems to Materials at Applied Materials. He joined the company in 2020 to start the AI Systems Solutions initiative, which evolved into the Systems-to-Materials (STM) group, under his leadership. Prior to Applied Materials, Subi held various technical and executive leadership roles at Globalfoundries and TSMC. He began his career at Texas Instruments as a design engineer and has been granted over 50 U.S. patents. He has given more than 100 invited talks and press interviews.
Abstract:
As AI Systems continue to scale, new challenges arise primarily on Performance/Watt and Total Cost of Ownership (TCO). Innovations driven by System-Technology-Co-optimization (STCO) is needed for next generation AI Systems. This talk covers challenges and opportunities for the Semiconductor eco-system.
Silicon photonics
Eléonore Hardy
Silicon Photonics Partnership Manager, CEA-Leti

Eléonore Hardy
Silicon Photonics Partnership Manager, CEA-Leti
Eleonore Hardy joined CEA-Leti in 2018 as a business developer in silicon photonics.She holds a Master's degree in Engineering and followed a MS in Management & Innovation. Eleonore has been working in the optics and photonics industry since 2005 and previously worked for Philips in the Netherlands and for Varioptic (a BU of Corning) in China.
During her career, Eleonore has been successful in creating long-term value in lasers in France, China and India for Quantel (Lumibird), and spectrometers in Europe and Asia for Resolution Spectra Systems. Eleonore is dedicated to developing new business opportunities in silicon photonics, especially in communications, sensing and high-performance computing.
Benoit Charbonnier
Research engineer, CEA-Leti

Benoit Charbonnier
Research engineer, CEA-Leti
Bio:
Benoit Charbonnier received his engineering degree in 1994 from Ecole Nationale Supérieure des Télécommunications de Paris and received his Ph.D. degree in 1997 on 40 Gbps soliton transmission from the same institution. In 1997, he joined Nortel Network in Harlow, UK, in the Advanced Communications group where he worked on 80 Gbps long haul transmission and then, in 2001, joined Marconi Communications to develop an Ultra-Long Haul 10 Gb/s based transmission products. In 2004, he joined Orange Labs as a research engineer, focusing on next generation optical access networks and particularly on digital signal processing applied to optical communications. In 2015, he moved to CEA-Leti, Grenoble, leading the photonics program within the French Institute of Technology Nanoelec, developing industrial partnerships to promote silicon photonics technologies. He is now in charge of neuromorphic photonics applications within the silicon photonics lab.
Abstract:
Computing with light is gathering interest recently with prospects of ultra high speed computations hence at very low power consumption per operation. Leti's Silicon Photonics Platform with integrated IIIV, Phase Change Materials and Barium Titanate is well suited to deliver high performance low power circuits for computing as well as inference.
The keys to sustainable ICs
Laurent Pain
Sustainable Electronics Program Director, CEA-Leti

Laurent Pain
Sustainable Electronics Program Director, CEA-Leti
Bio:
Laurent Pain is graduated from the Ecole Nationale Supérieure de Physique de Grenoble in 1992. He received his Ph D after his work on DUV resists study. He joined CEA-Leti in 1996 to work on infra-red technology, and then came back to STmicroelectronics in 1999 working on 193nm and e-beam lithography technologies.
From 2008 to 2014, Laurent Pain leaded the lithography laboratory of the silicon technology division of CEA-Leti. He was also managing in parallel the industrial consortium IMAGINE dedicated to the development of multibeam lithography with MAPPER lithography BV.
Since July 2014, within the CEA-Leti Silicon Technology Division, he is now in charge of the business and the partnerships developments of the Silicon Technologies Platform Division.
Jessica DeMott
R&D Manager, Arkema

Jessica DeMott
R&D Manager, Arkema
Bio:
Jessica DeMott is an R&D Manager for Fluorospecialities at Arkema with a focus on new molecule and new application development including the development of next generation, low global warming potential and partially fluorinated precursors for etch applications. She received her PhD in organometallic chemistry from Texas A&M University in 2015 then worked for BASF before joining Arkema in 2019. She is based out of Arkema’s North American Headquarters in King of Prussia, PA.
Abstract:
The identification and development of next generation, low global warming potential (GWP) etch precursors includes access to novel chemistry. Arkema’s research is focused on establishing and optimizing manufacturing routes to new etch molecules. Considerations for providing a sustainable supply chain for new fluorinated etch gases will be discussed.
Cathy Batisson
Lead of European Partnerships and Public Funding, Renault Group

Cathy Batisson
Lead of European Partnerships and Public Funding, Renault Group
Bio:
Cathy Batisson holds an engineering degree in Energy Engineering from the Institut National des Sciences Appliquées of Lyon and a Powertrain Engineering degree from the IFP School. She began her career at Renault in 1998 as a software developer, working on an innovative near-zero emission gasoline vehicle. Over the years, she has held various management positions in powertrain innovation, focusing on control, sensing, and calibration. Since 2011, she has served as the Gasoline Engine Innovation Program Manager.
Since 2021, she has been leading the European Research and Innovation Partnerships and Public Funding, managing European collaborative projects for the Group. Additionally, she is responsible of the IPCEI ME/CT project for Renault and acts as Deputy Work Stream Leader for the Work Stream ACT (power and actuation).
Abstract:
A holistic approach:
- 3 pillars: carbon neutrality, conserve resources, preserve biodiversity
- Life-cycle assessment, “cradle-to-cradle”
Stakes & solutions along the value circle
- “Reduce consumption” - Production & Supply
- “Extend the lifespan of vehicles and parts” - Usage
- Recycle
Some Examples at Renault Group with Embleme concept car & The Future Is Neutral
Axelle Weber
Data Scientist, Schneider Electric

Axelle Weber
Data Scientist, Schneider Electric
Bio:
Graduated from Bordeaux INP in 2019, I joined Schneider Electric as a data scientist in 2022. I have interests in applied artificial intelligence, especially in the fields of energy, agriculture, and medicine, as well as sustainability topics. At Schneider Electric, I've been involved in projects that assess the environmental impact of digital solutions.
Abstract:
At Schneider Electric we place sustainability at the core of our principles. Thus, an important part of our activities focuses on energy management in buildings. This is where microgrids have a crucial role to play.A microgrid is a self-contained electrical network that allows you to generate your own electricity on-site and use it when you need it most. It can be applied to any buidling with renewable energy sources such as houses, school or datacenters for example.We use AI for the energy management in microgrids.
In this talk we show the net carbon impact of an AI-based microgrid management solution. It is obtained via a lifecycle assessment, including savings generated by the AI solution, and the carbon footprint of software, including AI, and of hardware (solar panels, batteries).
Nick Duinslaeger
CEO / Co-founder, GRAPHEC

Nick Duinslaeger
CEO / Co-founder, GRAPHEC
Bio:
Nick Duinslaeger is a scientist and entrepreneur focused on innovative water treatment solutions. He holds an MSc in Catalytic Science and Technology from the Catholic University of Leuven and began his career as a project manager at Veolia Water Technologies, designing wastewater treatment plants in the Benelux region. He later worked with Médecins Sans Frontières as a Technical Referent in Environmental Health. Nick completed a PhD on novel anode materials and nano-electrochemical systems for PFAS degradation in wastewater. He is the co-founder and CEO of GRAPHEC, a company pioneering graphene-enabled electrochemical processes to remove PFAS, combining cutting-edge technology with a strong commitment to environmental sustainability.
Abstract:
The persistence of PFAS in semiconductor wastewater presents critical environmental and regulatory challenges. This presentation compares emerging PFAS degradation technologies, analyzing their strengths and limitations in terms of scalability, energy efficiency, and integration potential within existing treatment systems. By addressing key pain points, we will explore the most viable pathways for the semiconductor industry to adopt sustainable and effective PFAS removal solutions.
Jacques Kluska
Principal, Responsible AI Specialist, Schneider Electric

Jacques Kluska
Principal, Responsible AI Specialist, Schneider Electric
Bio:
Jacques is an engineer who graduated from Ecole Nationale Supérieure des Arts et Métiers in 2010 and got a PhD in astrophysics from CNRS/Grenoble-Alpes University in 2014. He has extensive experience in developing generative AI models for astrophysical imaging during his time as an associate researcher at the University of Exeter, UK, and KU Leuven, Belgium. Joining Schneider Electric's AI hub in 2023, Jacques worked in diverse AI use cases such as predictive maintenance, time series, and computer vision. He is now leading the Sustainable AI pillar within the Responsible AI team. Jacques has been actively involved in shaping the development of the AFNOR spec on Frugal AI, the first global reference on the topic published in June 2024.
Abstract:
At Schneider Electric we place sustainability at the core of our principles. Thus, an important part of our activities focuses on energy management in buildings. This is where microgrids have a crucial role to play.A microgrid is a self-contained electrical network that allows you to generate your own electricity on-site and use it when you need it most. It can be applied to any buidling with renewable energy sources such as houses, school or datacenters for example.We use AI for the energy management in microgrids.
In this talk we show the net carbon impact of an AI-based microgrid management solution. It is obtained via a lifecycle assessment, including savings generated by the AI solution, and the carbon footprint of software, including AI, and of hardware (solar panels, batteries).
Power and radiofrequency
Philippe Despesse
Deputy Director of Programs, Systems Division, CEA-Leti

Martin Gallezot
Deputy Head Silicon Components Division, CEA-Leti

Martin Gallezot
Deputy Head Silicon Components Division, CEA-Leti
Bio:
Martin Gallezot graduated from Ecole Supérieure d’Electricité, Paris, France in 1993 with a Msc in Electrical Engineering. He is responsible for technology transfer in the Silicon Components Division at CEA-LETI. Before that he held several technical and business positions in semiconductor IP companies operating in the cybersecurity, high speed interconnect and flat panel display industries.
Swan Gerome
Industrial Partnerships Manager, CEA-Leti

Swan Gerome
Industrial Partnerships Manager, CEA-Leti
Bio:
Swan GEROME is Industrial Partnership Manager for the System Department, specialized in RF and Telecommunication at CEA-Leti.
He hold a degree in Marketing and Sales Management at Grenoble IAE and has more than 15 years experience in the semiconductor industry in various companies, from materials, design and research.
He was successively Sales Manager for Dolphin Integration from 2008 to 2014 in charge of Business Development for ASIC Design, Analog Silicon IP and libraries towards Foundries, Fabless, Design Houses and OEM. He also managed a team of 3 sales coordinators in Asia (Japan, South Korea and Taiwan) then Europe. He joined CEA-Leti in 2015 as Industrial Parnership Manager for the System Departement in the fields of sensors integration, energy management, embedded data processing and RF Telecommunications (antennas designa and integration, specific protocols and RFIC design), developping partnership with national and international companies from Start-up to Big Tech.
Between 2022 and 2023, he was Product Marketing Manager for Connect-SOI Business Unit at SOITEC, developping the Roadmap of engineering silicon substrate for RF applications and launching new product to answer market requirement.
Sylvain Bacquet
Head of Power Electronics and Energy Management lab, CEA-Leti

Michel Bommer
UPS Technology Director, Legrand

Stéphanie Robinet
Head of Analog and Power IC lab, CEA-Leti

Yann Lamy
Head of Power Devices Lab, CEA-Leti

Yann Lamy
Head of Power Devices Lab, CEA-Leti
Bio:
Yann Lamy is the head of the Power Devices Laboratory which is leading advanced R&D on Wide Band Gap (GaN and SiC) and ultra WBG (Diamond) semiconductors, through academic and industrial projects at CEA LETI. Previously, he has managed a large scale program on engineered wafers, enabling in particular the performance of power semiconductors. Yann LAMY was the R&D Lab Manager for RF and passive components, successfully transferring R&D results to industry.
He holds a PhD in Material Engineering and Hyperfrequency, a Master degree from ESPCI Paris and has authored or co-authored over 50 peer-reviewed publications.
Abstract:
Wide Bandgap (WBG) materials, such as Gallium Nitride (GaN) and Silicon Carbide (SiC), are increasingly crucial for powering datacenters due to their superior efficiency and reduced energy losses. These materials enable higher power densities and improved cooling, leading to significant cost savings and reduced environmental impact. As datacenter power demands grow, particularly for AI applications, WBG materials offer a sustainable and efficient solution for meeting future energy needs.